Era of Customization and Specialization for Energy-Efficient Computing

Speaker:        Professor Jason CONG
                Director, Center for Domain-Specific Computing
                Chancellor's Professor
                UCLA Computer Science Department

Title:          "Era of Customization and Specialization for
                Energy-Efficient Computing"

Date:           Wednesday, 20 June 2012

Time:           10::30am - 11:30am

Venue:          Lecture Theatre H (Chen Kuan Cheng Forum)
                near lifts 27/28, HKUST

Abstract:

In order to drastically improve the energy efficiency, we believe that
future computer processors need to go beyond parallelization, and provide
architecture support of customization and specialization so that the
processor architecture can be adapted and optimized for different
application domains. Customization can be made to computing cores, memory
hierarchy, and network-on-chips for efficient adaptation for different
workload.  Also, we believe that future processor architectures will make
extensive use of accelerators to further increase energy efficiency. Such
architectures present many new challenges and opportunities, such as
accelerator synthesis, scheduling, sharing, memory hierarchy optimization,
and efficient compilation and runtime support. In this talk, I shall
present our ongoing research in these areas in the Center for
Domain-Specific Computing (supported by the NSF Expeditions in Computing
Award).


*****************
Biography:

JASON CONG received his B.S. degree in computer science from Peking
University in 1985, his M.S. and Ph. D. degrees in computer science from
the University of Illinois at Urbana-Champaign in 1987 and 1990,
respectively.  Currently, he is a Chancellor's Professor at the Computer
Science Department of University of California, Los Angeles, the director
of Center for Domain-Specific Computing (CDSC), and co-director of the
VLSI CAD Laboratory.  He served as the department chair from 2005 to 2008.
Dr. Cong's research interests include synthesis of VLSI circuits and
systems, programmable systems, novel computer architectures, nano-systems,
and highly scalable algorithms.  He has over 300 publications in these
areas, including six best paper awards (T-CAD'95, TODAES'05, ISPD'05,
HPCA'08, SASP'09, FCCM'11).  He was elected to an IEEE Fellow in 2000 and
ACM Fellow in 2008.  Dr. Cong is the recipient of the 2010 IEEE Circuits
and System (CAS) Society Technical Achievement Award "For seminal
contributions to electronic design automation, especially in FPGA
synthesis, VLSI interconnect optimization, and physical design automation"
and also the A. Richard Newton Technical Impact Award, awarded jointly by
CEDA and the ACM Special Interest Group on Design Automation (SigDA), for
"pioneering work on technology mapping for field programmable gate arrays
(FPGAs)".

Dr. Cong has graduated 27 PhD students.  A number of them are now faculty
members in major research universities, including Georgia Tech., Peking
Univ. (China), Purdue Univ., SUNY Binghamton, UCLA, UIUC, and UT Austin.
Others are taking key R&D or management positions in major
EDA/computer/semiconductor companies, or being founding members of
high-tech startups.  He was a co-founder and the president of Aplus Desgin
Technologies (acquired by Magma in 2003) and a co-founder and chief
technology advisor of AutoESL Design Technologies (acquired by Xilinx in
2011). Dr. Cong is also a distinguished visiting professor at Peking
University and co-director of UCLA/PKU Joint Research Institute in Science
and Engineering.